Linux怎么搭建verilog学习环境

2023-05-04,

本篇内容介绍了“Linux怎么搭建verilog学习环境”的有关知识,在实际案例的操作过程中,不少人都会遇到这样的困境,接下来就让小编带领大家学习一下如何处理这些情况吧!希望大家仔细阅读,能够学有所成!

- 正式开始 -

-01-

前言

写这一篇文章的初衷一个是分享给各位想进入IC领域的读者以及感谢一位贵人。VerilogHDL是国内目前最流行的硬件描述语言。关于硬件描述语言的问题,这里并不多谈,我会在我的另一篇文章谈论关于硬件描述语言,本文献给那些想学习verilog,但是又没有合适的工具的读者。本文内容部分作者演示,部分来源于网络。

-02-

工具篇

这里推荐的工具是iverilog和gtkwave。

linux/ubuntu 下使用命令 sudo apt-get install iverilog 安装。

其他平台可以使用对应的包管理器安装。

同事iverilog支持windows平台,可以从http://bleyer.org/icarus/这里下载需要的版本。

安装是一个简单的过程,相信有linux或者ubuntu使用经验的人都很容以完成

这里使用命令 man iverilog 可以查看使用手册。

安装iverilog会同时安装vvp。

vvp是verilog的方针软件。

在完成上述步骤之后,继续安装gtkwave。

Linux/Ubuntu平台使用sudo apt-get install gtkwave 命令安装gtkwave。

gtk用来查看仿真波形。

安装好之后可以使用which命令查看命令所在位置。

-03-

工具使用篇

首先来看一段代码。这里用一个简单的计数器来举例。

然后写一个testbench。

`timescale 1ns/1ns

module和testbench中这个时间刻度一定要标清楚。

$dumpfile("test.vcd");

$dumpvars(0, test);

这两行用来产生vcd波形。这个波形是给gtkwave用的。

准备好了必要的代码。

现在准备使用工具开干吧。

执行iverilog命令如 iverilog -o testname count.v tb_cnt.v

按:-o name (name是输出的文件名,是一个可执行文件,执行止呕胡会出现.vcd波形文件,这个文件可使用gtkwave查看)

使用gtkwave命令如gtkwave test.vcd

这里需要将左边的信号拖动到右边才会显示。

相信聪明的你一定可以学会的。

说一说相关的参数。

iverilog:

-g2012 :使用这个参数,可以支持部分Systemverilog语法。方便验证。

-o :可以制定输出文件的名字,否则默认是a.out

“Linux怎么搭建verilog学习环境”的内容就介绍到这里了,感谢大家的阅读。如果想了解更多行业相关的知识可以关注本站网站,小编将为大家输出更多高质量的实用文章!

《Linux怎么搭建verilog学习环境.doc》

下载本文的Word格式文档,以方便收藏与打印。