选通显示 $strobe

2023-05-19,,

选通显示(strobing)是由关键字为$strobe的系统任务完成。这个任务与$display主要差异在于如下:如果许多语句和$display任务在同一时间单位执行,那么这些语句与$display任务执行的顺序是不确定的。如果使用$strobe,改语句总是在同时可的其他赋值语句执行完后才执行。它可以确保所有在同一始终沿赋值的其他语句在执行完毕后才显示数据。如:

always@(posedge clk)
begin
       a=b;
       c=d;
end

always@(posedge clk)
       $strobe(“displaying a=%b,c=%b”,a,c);

在此例子中,在a=b;c=d;执行完后,才执行$strobe(“displaying a=%b,c=%b”,a,c);。如果使用
$display,则顺序就不确定。

《选通显示 $strobe.doc》

下载本文的Word格式文档,以方便收藏与打印。